Vivado prefered language?

Hi Jeroen!

I started with Lucid and then moved onto Verilog by going through these examples on HDLBits (https://hdlbits.01xz.net/wiki/Main_Page)…really good for going through the basics and principles of good design etc. For the most part, Verilog does more with less code than VHDL, but I’ve found that it can produce some subtle bugs which can be a nightmare to find as it is less verbose! I found that Lucid was another great starting point with the tutorials on Alchitry’s website (I did these before HDLBits) as it covers lots of basic concepts really well (Lucid isn’t the same as the one you’ve linked). It’s also fairly painless to go from Lucid to Verilog (Lucid is compiled into Verilog before it is synthesised). I found that the hardest thing was to get out of a software mindset into visualising what you are building as physical hardware; after that the design process becomes a lot more intuitive.

I’ve been using the Au with Vivado which has been fantastic; I’ve not used the Cu but I’m sure both will work for your project! What are you using the separate motherboards for? The Au scales well for larger projects and has lots of IO; there might be scope for you to combine them into one FPGA?

Hope this helps! Fred